why did these companies choose Tcl over Python

sndive at gmail.com sndive at gmail.com
Tue Oct 30 21:10:55 EDT 2007


On Oct 30, 3:25 pm, chewie54 <dfabrizi... at gmail.com> wrote:
> Hello,
>
> As an electronics engineer I use some very expensive EDA CAD tool
> programs that are scriptable using Tcl.  I was wondering why these
> companies have choose to use Tcl instead of Python.   Some of these
> are:
>
>    Mentor Graphics ModelTech VHDL and Verilog simulator
>    Synopsys  Design Compiler and Primetime Static Timing Analyzer
>    Actel FPGA tools.
>
> Tcl seems to very popular in my business as the scripting language of
> choice.
>
> I'm in the process of deciding to use Tcl or Python for a CAD tool
> program that I have been working on.    Most of the core of the
> program,  the database,   will be done is C as an extension to either
> Tcl or Python,   but I intend to use Tk or wxPthon for the GUI.   I do
> need publishing quality outputs from drawings done on a graphics
> device that are scaled to standard printer paper sizes.
>
> I would prefer to use Python but can't deny how popular Tcl is,  as
> mentioned above,  so my question is why wasn't Python selected by
> these companies as the choice of scripting languages for their
> product?
>
> Are there any obvious advantages like:
>
>     performance,
>     memory footprint,
>     better cross-platform support,
>     ease of use,
>
> Thanks in advance for your thoughts about this.

tcl is somewhat simpler to extend but you'll pay the price later on in
the
form or the hideous tcl scripts floating around your system




More information about the Python-list mailing list