[issue22676] _pickle's whichmodule() is slow

STINNER Victor report at bugs.python.org
Tue Oct 21 10:10:32 CEST 2014


STINNER Victor added the comment:

> For example we can take numpy where some ufuncs (i.e. function-like objects implemented in C) don't have a __module__.

Oh. I was not aware of that. Is there a way to fix numpy to set the __module__ attribute? Maybe we should warn users that serialiaing objects without __module__ is much slower?

----------

_______________________________________
Python tracker <report at bugs.python.org>
<http://bugs.python.org/issue22676>
_______________________________________


More information about the Python-bugs-list mailing list