[ANNOUNCE] MyHDL 0.7

Jan Decaluwe jan at jandecaluwe.com
Tue Dec 28 12:03:14 CET 2010


I'm happy to announce MyHDL 0.7.

MyHDL is Python used as a Hardware Description Language.

Overview:
 http://www.myhdl.org/doku.php/overview

What's new in this release:
 http://www.myhdl.org/doc/0.7/whatsnew/0.7.html

Download:
 http://sourceforge.net/project/showfiles.php?group_id=91207

Best regards,

Jan Decaluwe

-- 
Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com
   Python as a HDL: http://www.myhdl.org
   VHDL development, the modern way: http://www.sigasi.com
   Analog design automation: http://www.mephisto-da.com
   World-class digital design: http://www.easics.com



More information about the Python-announce-list mailing list